CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - I2C EEPROM verilog

搜索资源列表

  1. CpldandEepromI2c

    0下载:
  2. verilog 编写的I2c协议程序,用于cpld读写EEPROM-verilog I2c agreement prepared by the procedures for cpld writable EEPROM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:447472
    • 提供者:丁明
  1. eeprom_i2c.tar.gz

    0下载:
  2. I2C EEPROM verilog simulation model,I2C EEPROM verilog simulation model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:635208
    • 提供者:Ravi
  1. i2c_model.tar

    1下载:
  2. I2C EEPROM verilog simulation model
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:635209
    • 提供者:Ravi
  1. i2c

    4下载:
  2. IIC 接口EEPROM 存取实验(verilog实现) 按动开发板键盘某个键 CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-14
    • 文件大小:63412
    • 提供者:梁旺
  1. verilog

    1下载:
  2. 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:8651
    • 提供者:andy
  1. i2cBUS

    0下载:
  2. I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序(verilog hdl)介绍操作一个I2C总线接口的EEPROM AT24C02 的方法,使用户了解I2C总线协议和读写方法。-The I2C bus is a very common serial bus, it is simple, occupy less interface. This program (verilog HDL) introduced operating a AT24C02 EEPROM of I2C
  3. 所属分类:Com Port

    • 发布日期:2014-11-19
    • 文件大小:549971
    • 提供者:lipuran
  1. verilogiic1121

    0下载:
  2. i2c的verilog程序,通过写入eeprom再读出并在seg数码显示管上显示来进行验证-i2c' s verilog program eeprom read by writing out and in the seg digital display tube display to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:473740
    • 提供者:zhangjian
  1. I2C_EEPROM_RW

    0下载:
  2. I2C master设备的verilog实现,验证了对eeprom的读写-I2C master eeprom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2293138
    • 提供者:王敏
  1. eeprom_i2c

    0下载:
  2. Verilog 编写的eeprom的i2c读写功能-Written in Verilog the i2c eeprom read and write capabilities
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:271597
    • 提供者:何凌
  1. Source

    0下载:
  2. I2C 控制器的 Verilog源程序以及I2C规范说明-The I2C bus provides a simple two-wire means of communication. This protocol is used in many applications.SDRAM modules implement a serial EEPROM that supports the I2C protocol. This is used so that a micro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:9241
    • 提供者:zx
  1. iic_func_module

    0下载:
  2. 基于verilog的对eeprom的读写,该eeprom是基于I2C的读取,里面对时序的理解比较独特,大家可以自己揣摩-Based verilog read and write to eeprom, the eeprom is based I2C read inside relatively unique understanding of the timing, we can try to figure out
  3. 所属分类:Other systems

    • 发布日期:2014-11-19
    • 文件大小:7859200
    • 提供者:gzdy
  1. I2C_EEPROM

    0下载:
  2. 1. 本测试是夏宇闻 verilog数字系统设计教程,中的例程。 2. 编译环境Quartusii 3. 仿真环境Modelsim se 6.5d 4. 可综合部分已经经过quartus 验证正确 5. 仿真部分通过将I2C模块与一个EEPROM模型组合,通过时序仿真-EEPROM_I2C Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:4738966
    • 提供者:刘栋
  1. EEPROM2

    1下载:
  2. I2C协议的EEPROM的verilog程序,调试成功,可以根据系统的需求更改参数,程序中实现了连续读-I2C EEPROM protocol verilog program, successful commissioning, the parameters can be changed according to the needs of the system, to achieve a continuous reading program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4222
    • 提供者:CHENQINGPO
  1. proyecto-I2C

    0下载:
  2. It s a VERILOG code to initiate a I2C protocol on an FPGA and an EEPROM of 512 KB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:135136
    • 提供者:yunta23
  1. EEPROM

    0下载:
  2. EEPROM verilog仿真模块,用于测试I2C接口-EEPROM verilog simulation module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:3732
    • 提供者:
  1. i2c_latest.tar

    0下载:
  2. 基于verilog的I2C接口协议代码,支持EEPROM(Verilog based I2C interface protocol code, support EEPROM)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-15
    • 文件大小:1478656
    • 提供者:老杜123
  1. i2c_24c64

    1下载:
  2. 基于verilog的i2c接口EEPROM 24lc64的测试程序,包括了eeprom的虚拟模型,实际在硬件上验证没问题,也可以通过modleism进行仿真(Verilog based I2C interface EEPROM 24lc64 testing procedures, including the virtual model of EEPROM, the actual hardware verification is no problem, you can also simulate
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:6144
    • 提供者:jerrylili
  1. At24c02

    2下载:
  2. i2c 的verilog 仿真模型,可用于搭建仿真平台。(The Verilog simulation model of I2C can be used to build simulation platform.)
  3. 所属分类:其他

    • 发布日期:2021-03-20
    • 文件大小:4096
    • 提供者:SHENGLIDEREEN
搜珍网 www.dssz.com